--------------------------------------------------------------------------------------------- -- calcul_param_1.vhd --------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Université de Sherbrooke - Département de GEGI -- -- Version : 5.0 -- Nomenclature : inspiree de la nomenclature 0.2 GRAMS -- Date : 16 janvier 2020, 4 mai 2020 -- Auteur(s) : -- Technologie : ZYNQ 7000 Zybo Z7-10 (xc7z010clg400-1) -- Outils : vivado 2019.1 64 bits -- --------------------------------------------------------------------------------------------- -- Description (sur une carte Zybo) --------------------------------------------------------------------------------------------- -- --------------------------------------------------------------------------------------------- -- À FAIRE: -- Voir le guide de la problématique --------------------------------------------------------------------------------------------- -- --------------------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- pour les additions dans les compteurs USE ieee.numeric_std.ALL; Library UNISIM; use UNISIM.vcomponents.all; ---------------------------------------------------------------------------------- -- ---------------------------------------------------------------------------------- entity calcul_param_1 is Port ( i_bclk : in std_logic; -- bit clock (I2S) i_reset : in std_logic; i_en : in std_logic; -- un echantillon present a l'entrée i_ech : in std_logic_vector (23 downto 0); -- echantillon en entrée o_param : out std_logic_vector (7 downto 0) -- paramètre calculé ); end calcul_param_1; ---------------------------------------------------------------------------------- architecture Behavioral of calcul_param_1 is --------------------------------------------------------------------------------- -- Signaux ---------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description comportementale --------------------------------------------------------------------------------------------- begin o_param <= x"01"; -- temporaire ... end Behavioral;