diff options
author | LYAM <cous5830@gmail.com> | 2025-05-05 17:55:26 -0400 |
---|---|---|
committer | LYAM <cous5830@gmail.com> | 2025-05-05 17:55:26 -0400 |
commit | d3b7d2a0b154d4fa1889a44accae8b35b77041df (patch) | |
tree | 1f4f4bdfb64704187f642c05fb67da9d5eeb9459 | |
parent | 5c686d1c015fe65f9dc46b6c47d0db97342c0fd3 (diff) |
Oops
-rw-r--r-- | pb_APP_log_comb.srcs/sources_1/new/Bin2DualBCD.vhd | 15 |
1 files changed, 14 insertions, 1 deletions
diff --git a/pb_APP_log_comb.srcs/sources_1/new/Bin2DualBCD.vhd b/pb_APP_log_comb.srcs/sources_1/new/Bin2DualBCD.vhd index 49f6c4e..9e5ac9d 100644 --- a/pb_APP_log_comb.srcs/sources_1/new/Bin2DualBCD.vhd +++ b/pb_APP_log_comb.srcs/sources_1/new/Bin2DualBCD.vhd @@ -51,9 +51,22 @@ architecture Behavioral of Bin2DualBCD is units_out : out STD_LOGIC_VECTOR (3 downto 0); dizaines_out : out STD_LOGIC_VECTOR (3 downto 0)); end component; + + component Moins_5 is Port ( + ADCbin : in STD_LOGIC_VECTOR (3 downto 0); + Moins5 : out STD_LOGIC_VECTOR (3 downto 0)); + end component; + + signal Moins5_before_signed : STD_LOGIC_VECTOR (3 downto 0); begin + + moins5 : Moins_5 port map ( + ADCbin => ADCbin, + Moins5 => Moins5_before_signed + ); + signed_output : Bin2DualBCD_S port map ( - signed_in => ADCBin, + signed_in => Moins5_before_signed, signed_code => Code_signe, signed_units => Unite_s ); |