summaryrefslogtreecommitdiff
path: root/pb_APP_log_comb.srcs/sim_1
diff options
context:
space:
mode:
authorLYAM <cous5830@gmail.com>2025-05-05 13:52:16 -0400
committerLYAM <cous5830@gmail.com>2025-05-05 13:52:16 -0400
commit470cf5d35db4133291d698c9f62751aba2247cc8 (patch)
treefd1cc9549bff83bb49026cff3a709576589b273a /pb_APP_log_comb.srcs/sim_1
parente2b14f5632ce509823eee95fb6641b568f08deca (diff)
Now shows all the leds
Diffstat (limited to 'pb_APP_log_comb.srcs/sim_1')
-rw-r--r--pb_APP_log_comb.srcs/sim_1/imports/verif/AppCombi_top_tb.vhd17
1 files changed, 14 insertions, 3 deletions
diff --git a/pb_APP_log_comb.srcs/sim_1/imports/verif/AppCombi_top_tb.vhd b/pb_APP_log_comb.srcs/sim_1/imports/verif/AppCombi_top_tb.vhd
index d05f1fa..9afc9f2 100644
--- a/pb_APP_log_comb.srcs/sim_1/imports/verif/AppCombi_top_tb.vhd
+++ b/pb_APP_log_comb.srcs/sim_1/imports/verif/AppCombi_top_tb.vhd
@@ -54,7 +54,11 @@ architecture Behavioral of AppCombi_top_tb is
o_led : out std_logic_vector (3 downto 0);
o_led6_r : out std_logic;
o_pmodled : out std_logic_vector (7 downto 0);
- ADCth : out std_logic_vector (11 downto 0)); -- Connecteur ADCth thermometrique
+ ADCth : out std_logic_vector (11 downto 0); -- Connecteur ADCth thermometrique
+ DEL1 : out std_logic; -- Carte thermometrique
+ DEL2 : out std_logic; -- Carte thermometrique
+ button_s1 : in std_logic; -- Carte thermometrique
+ button_s2 : in std_logic); -- Carte thermometrique
end component;
signal clk_sim : STD_LOGIC := '0';
@@ -66,6 +70,10 @@ architecture Behavioral of AppCombi_top_tb is
signal btn_sim : STD_LOGIC_VECTOR (3 downto 0) := "0000";
signal cin_sim : STD_LOGIC := '0';
signal ADCth_sim : STD_LOGIC_VECTOR (11 downto 0) := "000000000000";
+ signal DEL1_sim : STD_LOGIC := '0';
+ signal DEL2_sim : STD_LOGIC := '0';
+ signal button_s1_sim : STD_LOGIC := '0';
+ signal button_s2_sim : STD_LOGIC := '0';
signal vecteur_test_sim : STD_LOGIC_VECTOR (13 downto 0) := (others => '0');
signal resultat_attendu : STD_LOGIC_VECTOR (4 downto 0) := "00000";
@@ -132,8 +140,11 @@ begin
o_led => led_sim,
o_pmodled => pmodled_sim,
o_led6_r => led6_r_sim,
- ADCth => ADCth_sim);
-
+ ADCth => ADCth_sim,
+ DEL2 => DEL2_sim,
+ DEL1 => DEL1_sim,
+ button_s1 => button_s1_sim,
+ button_s2 => button_s2_sim);
-- Section banc de test