1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 05/03/2025 07:14:35 PM
-- Design Name:
-- Module Name: Decodeur_3_8_tb - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Parity_check_tb is
end Parity_check_tb;
architecture Behavioral of Parity_check_tb is
component parity_check port (
ADCbin : in STD_LOGIC_VECTOR (3 downto 0);
S1 : in STD_LOGIC;
Parite : out STD_LOGIC);
end component;
signal input_bits_sim : STD_LOGIC_VECTOR (3 downto 0);
signal input_cfg_sim : STD_LOGIC;
signal bus_out_sim : STD_LOGIC;
signal expected : STD_LOGIC;
----------------------------------------------------------------------------
-- Test bench usage signals
----------------------------------------------------------------------------
constant sysclk_Period : time := 8 ns;
signal clk_sim : STD_LOGIC := '0';
signal vecteur_test_sim : STD_LOGIC_VECTOR(5 downto 0) := "000000" ;
----------------------------------------------------------------------------
-- declaration d'un tableau pour soumettre un vecteur de test
----------------------------------------------------------------------------
constant parity_test_count: integer := 16;
type parity_test_table is array (integer range 0 to parity_test_count) of STD_LOGIC_VECTOR(5 downto 0);
constant parity_test_values : parity_test_table := (
-- IN defines the input data
-- RHS is the bit that must be "appended" to make the 1-sum even/uneven
-- IN even uneven
"0000" & "0" & "1",
"0001" & "1" & "0",
"0010" & "1" & "0",
"0011" & "0" & "1",
"0100" & "1" & "0",
"0101" & "0" & "1",
"0110" & "0" & "1",
"0111" & "0" & "0",
"1000" & "1" & "0",
"1001" & "0" & "1",
"1010" & "0" & "1",
"1011" & "1" & "0",
"1100" & "0" & "1",
"1101" & "1" & "0",
"1110" & "1" & "0",
"1111" & "1" & "1",
-- DO NOT DELETE
others => "0000" & "0" & "1"
);
begin
parity_checker: parity_check port map (
ADCbin => input_bits_sim,
S1 => input_cfg_sim,
Parite => expected);
-- Section banc de test
----------------------------------------
-- generation horloge
----------------------------------------
process
begin
clk_sim <= '1'; -- init
loop
wait for sysclk_Period/2;
clk_sim <= not clk_sim; -- invert clock value
end loop;
end process;
----------------------------------------
----------------------------------------
-- test bench
tb : process
variable delai_sim : time := 50 ns;
variable table_valeurs_adr : integer range 0 to parity_test_count;
-------------------------------------------------
-- TEST EVEN PARITY (button pressed -> S1 = '1')
-------------------------------------------------
begin
table_valeurs_adr := 0;
for index in 0 to parity_test_values'length-1 loop
vecteur_test_sim <= parity_test_values(table_valeurs_adr);
----------------------------------------
-- Assignation des signals de tests aux valeurs fetched de la table.
----------------------------------------
input_bits_sim <= vecteur_test_sim(3 downto 0);
input_cfg_sim <= '1'; -- PRESSED BUTTON: TEST EVEN PARITY
expected <= vecteur_test_sim(4);
----------------------------------------
wait for delai_sim;
-- Compare results. Des impression dans le terminal sont fait uniquement lors d'erreurs.
assert (expected = bus_out_sim)
report "Parity_check: Incorrect parity resolution = " &
STD_LOGIC'image(bus_out_sim) &
", Expected = " &
STD_LOGIC'image(expected)
severity warning;
if(table_valeurs_adr = parity_test_count) then
exit;
end if;
table_valeurs_adr := table_valeurs_adr + 1;
end loop;
wait;
end process;
end Behavioral;
|